Autor: Wim Dehaene

Apoio
Hans Reyserhove was born in Turnhout, Belgium in 1989. He received the B.S. and M.S. degrees cum laude in engineering science, electrical engineering from KU Leuven, Leuven, Belgium, in 2010 and 2012. The topic of his master thesis was “A pixel level ADC for extreme parallelism, high frame rate and high dynamic range image sensors”. In 2011, he interned at the NCTU Si2Lab, Hsinchu, Taiwan. He is currently working as a research assistant at the ESAT- MICAS laboratories of the KU Leuven towards a Ph.D. degree in the field of near-threshold digital circuit design with a focus on automating design flows, standard cell design, microprocessors and better-than-worst-case design techniques. The promoter of his Ph.D. is Prof. Dr. Ir. Wim Dehaene. His main research interests are local and energy-efficient compute, low voltage operation, microprocessors and better-than-worst-case design techniques.   Wim Dehaene was born in Nijmegen, The Netherlands, in 1967. He received the M. Sc. degree in electrical and mechanical en- gineering in 1991 from the Katholieke Universiteit Leuven. In November 1996 he received the Ph. D degree at the Katholieke Universiteit Leuven. His thesis is entitled CMOS integrated circuits for analog signal processing in hard disk systems. After receiving the M. Sc. Degree Wim Dehaene was a research assistant at the ESAT-MICAS Laboratory of the Katholieke Universiteit Leuven. His research involved the design of novel CMOS building blocks for hard disk systems. The research was first sponsored by the IWONL (Belgian Institute for Science and Research in Industry and agriculture) and later by the IWT (the Flemish institute for Scientific Research in the Industry). In November 1996 Wim Dehaene joined Alcatel Microelectronics, Belgium. There he was a senior project leader for the feasibility, design and development of mixed mode Systems on Chip. The application domains were telephony, x DSL and high speed wireless LAN. In July 2002 Wim Dehaene joined the staff of the ESAT- MICAS laboratory of the Katholieke Universiteit Leuven where he is now a full professor and head of the MICAS division. His research domain is circuit level design of digital circuits. The current focus is on ultra low power signal processing and memories in advanced CMOS technologies. Part of this research is performed in cooperation with IMEC, Belgium, where he is also a part time principal scientist. Wim Dehaene is teaching several classes on electrical engineering and digital circuit and system design. He is also very interested in the didactics of engineering. As such he is guiding several projects aiming to bring engineering to youngsters in secondary education and he is a teacher in the teacher education program of the KULeuven. Wim Dehaene is a senior member of the IEEE. Wim Dehaene was the technical program-chair for ESSCIRC 2017. He also is a member of the ISSCC program committee.




8 Ebooks por Wim Dehaene

Vibhu Sharma & Francky Catthoor: SRAM Design for Wireless Sensor Networks
This book features various, ultra low energy, variability resilient SRAM circuit design techniques for wireless sensor network applications. Conventional SRAM design targets area efficiency and high …
PDF
Inglês
€96.29
Hans Reyserhove & Wim Dehaene: Efficient Design of Variation-Resilient Ultra-Low Energy Digital Processors
This book enables readers to achieve ultra-low energy digital system performance.  The author’s main focus is the energy consumption of microcontroller architectures in digital (sub)-systems.&#x …
PDF
Inglês
€96.29
Pieter A. J. Nuyts & Patrick Reynaert: Continuous-Time Digital Front-Ends for Multistandard Wireless Transmission
This book describes the design of fully digital multistandard transmitter front-ends which can directly drive one or more switching power amplifiers, thus eliminating all other analog components. …
PDF
Inglês
€149.79
Valentijn De Smedt & Georges Gielen: Temperature- and Supply Voltage-Independent Time References for Wireless Sensor Networks
This book investigates the possible circuit solutions to overcome the temperature and supply voltage-sensitivity of fully-integrated time references for ultra-low-power communication in wireless sens …
PDF
Inglês
€96.29
Nele Reynders & Wim Dehaene: Ultra-Low-Voltage Design of Energy-Efficient Digital Circuits
This book focuses on increasing the energy-efficiency of electronic devices so that portable applications can have a longer stand-alone time on the same battery. The authors explain the …
PDF
Inglês
€96.29
Marian Verhelst & Wim Dehaene: Energy Scalable Radio Design
Smart energy management, both at design time and at run time, is indispensable in modern radios. It requires a careful trade-off between the system’s performance, and its power consumption. Moreover, …
PDF
Inglês
€149.79